zl程序教程

您现在的位置是:首页 >  其他

当前栏目

【校招Verilog快速入门】基础语法篇:VL2、异步复位的串联T触发器

基础入门异步 快速 语法 触发器 Verilog 校招
2023-09-11 14:20:35 时间

文章目录

一、题目

描述

题目描述

用verilog实现两个串联的异步复位的T触发器的逻辑,结构如图:

信号示意图

在这里插入图片描述

波形示意图

在这里插入图片描述

输入描述

输入信号 data, clk, rst
类型 wire
在testbench中,clk为周期5ns的时钟,rst为低电平复位

输出描述&