zl程序教程

您现在的位置是:首页 >  其他

当前栏目

FPGA-测试文件的编写

文件测试 编写 FPGA
2023-09-14 09:13:03 时间

测试文件的编写流程:

  1. 定义时间标尺
  2. 定义信号类型
  3. 例化V文件
  4. 编写输入信号驱动

1、时间标尺:

格式:`timescale 仿真时间单位/时间精度

举例:`timescale 1ns/100ps

表示时延单位为1ns/100ps

时间单位>=精度;

2、定义信号类型:

原来模块中的输入信号,定义成reg 类型

原来模块中的输出信号,定义为wire类型

3、例化测试模块(和正常的例化程序相似) 

常见信号的固定写法:

1、时钟信号的固定写法:

parameter CYCLE =20;
initial begin 
    clk =0;
    forever
    #(CYCLE/2)
    clk=~clk;
end

2、复位信号的固定写法:

parameter RST_TIME =3;
initial begin 
    rst_n = 1;
    #2;
    rst_n = 0;
    #(CYCLE*RST_TIME);
    rst_n = 1;
end

3、其他信号的固定写法:

initial begin 
    #1;
    din=0;
    forever
    #(2*CYCLE)
    din=($random)%2;//任意取0或者1两个值
end