zl程序教程

您现在的位置是:首页 >  其他

当前栏目

VL21-根据状态转移表实现时序电路,用D触发器和必要的逻辑门实现同步时序电路!(待续tb)

逻辑同步状态 实现 触发器 根据 必要 转移
2023-09-14 09:09:12 时间

第一部分,题目要求

1,同步时序电路转换表

在这里插入图片描述

2,电路的接口如下图所示

在这里插入图片描述

第二部分,解题思路

1,思路以及状态转换表、真值表

在这里插入图片描述在这里插入图片描述
在这里插入图片描述

第三部分,代码

1,RTL代码
//
module seq_circuit