zl程序教程

您现在的位置是:首页 >  硬件

当前栏目

移位寄存器-Verilog

寄存器 Verilog 移位
2023-06-13 09:12:26 时间

大家好,又见面了,我是你们的朋友全栈君。

//五位循环右移
module register_right(
	input            clk,
	input 	   [4:0] data_in,
	output reg [4:0] data_out
    );
	 
    always @ (posedge clk)
    begin	
		data_out <= ({ 
   data_in[0],data_in[4:1]});
    end

endmodule

发布者:全栈程序员栈长,转载请注明出处:https://javaforall.cn/160241.html原文链接:https://javaforall.cn