zl程序教程

您现在的位置是:首页 >  其他

当前栏目

Quartus查看逻辑的RTL视图

逻辑 查看 视图 Quartus RTL
2023-09-27 14:20:42 时间

用Quartus自带的综合工具查看RTL视图

module rtl_example(a,b,c,d,e,y);
	input a,b,c,d,e;
	output y;
	
	wire m,n;
	assign m = ~a&b&c;
	assign n =m | ~d;
	assign y = n & ~e;
	
	endmodule

这是一段简单的逻辑运算代码,点击编译。

在这里插入图片描述

点击RTL Viewer即可,如图所示
在这里插入图片描述