VHDL——异步/同步复位的上升沿D触发器
2023-09-11 14:22:52 时间
1.管脚图
2.异步复位
library ieee;
use ieee.std_logic_1164.all;
entity dffyibu is
port(d,clk,reset : in std_logic;
q,qb : out std_logic);
end dffyibu;
architecture behave of dffyibu is
begin
process(clk,reset)
begin
if(reset = '0')then
q <= '0';
qb <= '1';
elsif(clk'event and clk = '1') then
q <= d;
qb <= not d;
end if;
end process;
end behave;
3.同步复位
library ieee;
use ieee.std_logic_1164.all;
entity dfftongbu is
port(d,clk,reset : in std_logic;
q,qb : out std_logic);
end dfftongbu;
architecture behave of dfftongbu is
begin
process(clk,reset)
begin
if(clk'event and clk = '1') then
if(reset = '0') then
q <= '0';
qb <= '1';
else
q <= d;
qb <= not d;
end if;
end if;
end process;
end behave;
相关文章
- input屏蔽历史记录 ;function($,undefined) 前面的分号是什么用处 JSON 和 JSONP 两兄弟 document.body.scrollTop与document.documentElement.scrollTop兼容 URL中的# 网站性能优化 前端必知的ajax 简单理解同步与异步 那些年,我们被耍过的bug——has
- 同步和异步有何异同,分别在什么情况下使用?
- 淘东电商项目(42) -利用Logstash自动同步数据库内容到ES(多文件方式)
- Allegro走线规则管理器中网络不会被同步高亮的解决办法
- 基本线程同步(一)引言
- nodejs 同步执行异步函数
- php单点登录之模拟淘宝天猫同步登录
- 阻塞,非阻塞,异步,同步
- react之setState异步和同步问题
- ES7之async/await同步编程异步函数
- ES6语法异步转同步(小程序中测试)
- Blockstack入驻亚马逊云计算平台,试图提高区块链同步速率
- 浅析loader和plugin的本质、如何编写自定义的同步和异步的Loader、如何编写自定义的plugin及整个编译生命周期钩子
- C#同步SQL Server数据库Schema
- 线程同步之互斥量
- 曹工杂谈:花了两天时间,写了一个netty实现的http客户端,支持同步转异步和连接池(1)--核心逻辑讲解
- C#异步编程(三)内核模式线程同步