zl程序教程

您现在的位置是:首页 >  其它

当前栏目

modelsim与debussy的联合仿真

仿真 联合
2023-09-11 14:20:47 时间

本文主要讲述的是 modelsim与debussy的联合仿真。

前提:已经安装好 modelsim和debussy软件。

步骤:

1、将Debussy安装目录下share\PLI\modelsim_pli54\WINNT\novas.dll拷贝到 questasim安装目录下win32目录下(如果是VHDL,将share\PLI\modelsim_fli54\WINNT\novas_fli.dll拷贝至win32目录下);

2、修改Questasim安装目录下modelsim.ini文件,将Veriuser = veriuser.sl修改为Veriuser = novas.dll (如果是VHDL,修改为Veriuser = novas_fli.dll).

3、产生fsdb 文件需要在testbench文件中写如下代码

 

initial

begin

    $fsdbDumpfile("info_fetch_tb.fsdb");

    $fsdbDumpvars;

end

这样在仿真的时候,就可以产生fsbd文件,供debussy调用了。

主要在windows下面,最大只能产生2G大小的文件,所以如果需要存储更多的波形,就可以这样写:

$fsdbAutoSwitchDumpfile(2048, "test_top.fsdb", 100);    //这个意思是每个文件存储2048M的波形,这样的文件存储100个。