zl程序教程

您现在的位置是:首页 >  前端

当前栏目

【SystemVerilog基础】fork...join_none 引发的一些思考(包含在for循环中使用fork...join_none的坑)

循环基础 for 一些 ... 包含 思考 Join
2023-09-11 14:20:35 时间

1、initial 中的 fork…join_none

看下面一段程序,你能答出运行结果和仿真时间吗?

program automatic test;

initial begin
  $display("***start time is %0d", $time);  
  fork
    begin
      $display(