zl程序教程

您现在的位置是:首页 >  其他

当前栏目

【UVM基础】什么是transaction

基础 什么 Transaction UVM
2023-09-11 14:20:36 时间

transaction是一个抽象的概念。 一般来说, 物理协议中的数据交换都是以帧或者包为单位的, 通常在一帧或者一个包中要定义好各项参数, 每个包的大小不一样。 很少会有协议是以bit或者byte为单位来进行数据交换的。

以以太网为例, 每个包的大小至少是64byte。 这个包中要包括源地址、 目的地址、 包的类型、 整个包的CRC校验数据等。 transaction就是用于模拟这种实际情况, 一笔transaction就是一个包。 在不同的验证平台中, 会有不同的transaction

小知识:

  • 在UVM中, 所有的transaction都要从uvm_sequence_item派生, 只有从uvm_sequence_item派生的transaction才可以使用后文讲述的UVM中强大的sequence机制。