zl程序教程

您现在的位置是:首页 >  其它

当前栏目

00 verilog概述

概述 Verilog 00
2023-09-14 09:16:17 时间

虚拟机:VMware-workstation-full-14.0.0.24051
环 境:ubuntu 18.04.1
应用软件:VCS(verilog compiled simulator,编译型代码仿真器)
参考教材:Verilog 数字系统设计教程 第4版 夏雨文著



一、学习路线

在这里插入图片描述

  • 预定目标:掌握利用Verilog设计现代数字集成系统的基本方法和手段,包括Verilog HDL 的 要 素 、 语 法 、语 句,仿真测试程序及可综合的设计方法。

二、Verilog概述

(1)什么是HDL

  • HDL(Hardware Description Language,硬件描述语言)
  • 具有特殊结构能够对硬件逻辑电路的功能进行描述的一种高级编程语言
  • 这种特殊结构能够:
    -> 描述电路的连接
    -> 描述电路的功能
    -> 描述电路的时序
    -> 表达具有并行性
    -> 在不同抽象级上描述电路

使用HDL的两大原因,一是电路设计向超大规模和高复杂方向发展,集成晶体管数从原来的几十门,到几千门,发展到百万门及现在的百亿门,传统人工通过设计电路原理图的方法已赶不上实际发展需求;二是电子领域的竞争越来越激烈,要求提高逻辑设计的效率、降低设计成本、缩短设计周期。

优势:HDL语言设计利用EDA软件计算机仿真系统在将设计错误的数目减少到最低,使一次设计成功成为可能;修改设计方案将变得很容易,只需要对HDL进行修改,这比更改电路原理图原型要容易实现得多。

(2)Verilog发展史

  • HDL 主要有两种 :Verilog 和VHDL
    -> Verilog起源于C语言,因此非常类似于C语言,容易掌握
    -> VHDL起源于ADA语言,格式严谨,不易学习。
    -> VHDL出现较晚,但标准化早。IEEE 1706-1985标准。
  • HDL主要特征
    -> HDL语言既包含一些高级程序设计语言的结构形式,同时也兼顾描述硬件线路连接的具体构件。
    -> HDL语言是并发的,即具有在同一时刻执行多任务的能力。一般来讲编程语言是非并行的,但在实际硬件中许多操作都是在同一时刻发生的,所以HDL语言具有并发的特征。
    -> HDL语言有时序的概念。一般来讲编程语言是没有时序概念的,但在硬件电路中从输入到输出总是有延迟存在,为描述这些特征,则HDL语言需要建立时序的概念,因此使用除了可以描述硬件电路的功能外还可以描述其时序要求。

(3)Verilog vs VHDL vs C

  • Verilog HDL 和VH DL 都是用于 逻辑设计的硬件描述语言 并且都已成为IEEE 标准。VHDL 是在1987 年成为IEEE 标准,Verilog HDL 则在1995 年才正式成为IEEE 标准。
  • Verilog HDL 和VHDL 共同的特点:
    -> 能形式化地抽象表示电路的行为和结构
    -> 支持逻辑设计中层次与范围的描述,可借用高级语言的精巧结构来简化电路行为的描述
    -> 具有电路仿真与验证机制以保证设计的正确性
    -> 支持电路描述由高层到低层的综合转换
    -> 硬件描述与实现工艺无关,有关工艺参数可通过语言提供的属性包括进去便于文档管理易于理解和设计重用。
  • Verilog HDL 和VHDL 各有其自己的特点:
    -> VHDL侧重于系统级描述,从而更多的为系统级设计人员所采用
    -> Verilog侧重于电路级描述,从而更多的为电路级设计人员所采用
    -> Verilog HDL 拥有更广泛的设计群体,成熟的资源也远比VHDL 丰富
    -> Verilog HDL 是一种非常容易掌握的硬件描述语言(类C语言),而掌握VHDL 设计技术就相对比较困难(类Ada语言)。
    -> 一般来讲Verilog HDL 在系统级抽象方面比VHDL 略差一些而在门级开关电路描述方面比VHDL 强得多
    -> 大学、欧洲研究机构更多使用VHDL,而工业界更多使用Verilog HDL
  • 虽然Verilog的某些语法与C语言接近,但存在本质上的区别:
    -> Verilog是一种硬件语言,最终是为了产生实际的硬件电路或对硬件电路进行仿真
    -> C语言是一种软件语言,是控制硬件来实现某些功能
    -> 利用Verilog编程时,要时刻记得Verilog是硬件语言,要时刻将Verilog与硬件电路对应起来
  • System Verilog and System C : 面向SOC

(4)Verilog的用途

  • Verilog的主要应用包括:
    -> ASIC和FPGA工程师编写可综合的RTL代码
    -> 高抽象级系统仿真进行系统结构开发
    -> 测试工程师用于编写各种层次的测试程序
    -> 用于ASIC和FPGA单元或更高层次的模块的模型开发

三、小结

在这里插入图片描述


作者:xlinxdu
版权:本文版权归作者所有
转载:欢迎点赞、评论和转载交流,但未经作者同意,必须保留此段声明,必须在文章中给出原文连接。