zl程序教程

您现在的位置是:首页 >  其它

当前栏目

FPGA 20个例程篇:14.千兆网口实现ICMP、UDP通信协议(下)

实现 20 14 FPGA UDP 例程 通信协议 icmp
2023-09-14 09:16:19 时间

第五章 外设接口通信,举一反三

14.千兆网口实现ICMP、UDP通信协议

      在实现了千兆网口的层层解析模块后,细心的同学不难发现在以太网顶层解析模块eth_receive_analy_top中通过udp_tx_en、arp_reply_en、icmp_reply_en三个使能信号分别去触发下游模块对ARP应答帧、UDP应答帧、ICMP应答帧的组报发送,同时整个工程的顶层模块用一个2秒定时器产生arp_ask_en使能信号来触发下游模块轮询对ARP请求帧的组报发送。

        显然在整个工程中我们还需要ARP帧、ICMP帧、UDP帧发送模块在收到不同的使能信号后,去组报并通过RGMII协议向PC端发送对应的应答帧或者请求帧,ARP帧的发送模块在上一个例程中已经实现了,在这里不重复赘述了,我们再依次去完成ICMP帧和UDP帧的发送模块,如表1所示是icmp_transfer模块信号列表。

信号列表

信号名

I/O

位宽

clk

I

1

rst_n

I

1

icmp_reply_en

I

1

local_ip_addr

I

32

pc_ip_addr

I

32

local_mac_addr

I

48

pc_mac_addr

I

48

ip_total_length

I

16

crc_data

I

32

icmpram_rd_dout

O

8

gmii_txd

O

8

gmii_tx_en

O

1

icmpram_rd_addr

O

12

icmp_crc_en

O

1

icmp_crc_clr

O

1

icmp_tx_done

O

1

表1 icmp_transfer模块信号列表

       如图1所示是ICMP发送模块的代码设计,也有几个地方值得注意:1. IP首部检验只需要检验20字节的IP首部,但ICMP首部校验需要检验4字节的ICMP首部和ICMP数据报;2. 代码设计上通过状态机的一个独立状态即CAL_CHECKSUM读取icmpram IP核的数据并进行ICMP首部校验;3. 要判断ICMP帧的数据位数是不是奇数,因为ICMP首部校验是以16位为基本单位进行校验运算,所以如果是奇数要在最后一字节的低8位补0;4. 注意以太网需要32位的CRC校验,故在发送完前导码后拉高icmp_crc_en即触发CRC校验模块计算,并发送完一个完整的帧后拉高icmp_crc_clr一个周期复位CRC校验模块。

图1 ICMP发送模块的代码设计

        如表2所示是udp_transfer模块信号列表,其实本模块和icmp_transfer模块的代码设计思想大同小异,只不过在计算UDP首部校验和的时候需要人为地去构造伪首部,并在计算过程中把伪首部也算到里面。

信号列表

信号名

I/O

位宽

clk

I

1

rst_n

I

1

udp_tx_en

I

1

local_ip_addr

I

32

pc_ip_addr

I

32

local_port_addr

I

16

pc_port_addr

I

16

local_mac_addr

I

48

pc_mac_addr

I

48

ip_total_length

I

16

crc_data                   

I

32

udpram_rd_dout

I

8

gmii_txd

O

8

gmii_tx_en

O

1

udpram_rd_addr

O

12

udp_crc_en

O

1

udp_crc_clr

O

1

udp_tx_done

O

1

表2 udp_transfer模块信号列表    

       在这里想着重说明一点,即不论是ICMP还是UDP发送模块,都要在发送帧之前计算好对应的IP首部校验、ICMP首部校验或者UDP首部校验,对于IP首部校验仅仅只是代入IP首部20个字节进行校验,而对于ICMP首部校验或者UDP首部校验需要校验首部和数据等,所以这也就是在整个例程中用RAM而不用FIFO作为数据收发缓存的原因,因为实际上在ICMP和UDP帧数据打报发送时,需要用到两次缓存中接收到的数据,一次是用来计算首部校验和,另一次是用来发送数据报,如图2所示是UDP发送模块的代码设计。

图2 UDP发送模块的代码设计

        如图3所示,在eth_transfer_top模块中把ARP帧、UDP帧、ICMP帧报文发送各模块都例化到一起即可,再在整个设计中例化eth_transfer_top顶层发送模块,实现代码的模块化复用。 这里需要根据上游模块发送的arp_ask_en、arp_reply_en、icmp_reply_en、udp_tx_en来决定发送ARP帧、ICMP帧还是UDP帧。  

图3 千兆网口实现ARP帧、UDP帧、ICMP帧报文发送各模块顶层文件的例化

       如图4所示是千兆网口实现ARP、ICMP、UDP通信协议整个例程顶层文件的例化,在这里我们把前面完成的以太网各层解析模块eth_receive_analy_top和以太网不同帧发送模块eth_transfer_top,ICMP和UDP两个外部伪双口RAM等相关信号都例化到了一起。

      在整个例程的顶层模块中用了一个外扩LED二极管用来直观地指示MDIO接口,PC端和开发板端自协商是否正确,把PC端的IP地址定义为192.168.0.3,而把豌豆开发板端的IP地址定义为192.168.0.2,MAC地址定义位00.11.22.33.44.55。

图4 千兆网口实现ARP、ICMP、UDP通信协议顶层文件的例化

       同样的在最后我们也来检验一下ARP和ICMP协议是否实现,如图5所示,因为没有安装“小兵以太网测试仪”,所以就直接通过PC端的DOS命令来实现触发ARP和ICMP协议。

       上个例程中也有介绍在DOS命令下先输入arp –d,这条命令代表删除PC端的所有以太网ARP缓存表,接着输入ping 192.168.0.2,在这里是通过ping命令来间接发起ARP请求,也触发了ICMP请求,大家可以清楚地看到在这个例程中因为我们实现了ICMP协议,所以PC端先显示ping操作正常。

图5 PC端发起ARP和ICMP请求

       如图6 所示在DOS命令下首先输入arp –a,即可查询PC端的所有以太网ARP缓存表,大家可以清楚地看到PC端已成功通过ARP协议获取到开发板MAC地址,因为我们已添加了上个例程中ARP协议方面的时序逻辑。

图6 PC端获取开发板MAC地址成功

       如图7所示,通过网口助手发送UDP协议帧,大家请把网口助手的本地IP、端口号和开发板IP、端口号先配置正确即和程序上相对应,然后再发送一报UDP帧,可以清楚地看到网口助手上打印出一条豌豆开发板上返回的数据,即UDP协议成功实现。

图7 网口助手下发送UDP协议帧

       如图8所示,大家打开Wireshark软件,并选择抓包本地连接,按下开发板的按键,如图9在Wireshark下即可抓取到PC端向开发板发送的ARP请求报文后,开发板向PC端回复ARP应答报文,接着如图10所示在 Wireshark下即可抓取到PC端向开发板发送ICMP请求报文后,开发板向PC端回复ICMP应答报文,最后如图11所示在 Wireshark下即可抓取到PC端向开发板发送UDP报文后,开发板向PC端相同数据的UDP报文。

图8 Wireshark界面下选择抓包本地连接

图9 Wireshark下抓取到PC端向开发板发送的ARP请求报文

图10 Wireshark下抓取到PC端向开发板发送的ICMP请求报文

图11 Wireshark下抓取到PC端向开发板发送的UDP报文

      同时笔者在很多核心模块下都添加了ILA IP核,这里只给出了ARP帧、ICMP帧、UDP帧发送的ILA波形图,实际上感兴趣的同学还可以在线调试太网首部解析、IP首部解析、ARP数据解析、UDP数据解析、ICMP数据解析等模块的波形。

    如图12到图14所示是分别是在线调试下的ARP帧发送的ILA波形图、ICMP帧发送的ILA波形图和UDP帧发送的ILA波形图。

 图12 ARP帧发送的ILA波形图

图13 ICMP帧发送的ILA波形图

图14 UDP帧发送的ILA波形图

源工程代码下载链接(含datasheet):

链接:https://pan.baidu.com/s/1KiATW8Ls126nOVHx_jWLnQ 
提取码:g1l1