zl程序教程

您现在的位置是:首页 >  其它

当前栏目

【常见 error】Vivado 综合出现中断、失败、“PID not specified”

Error not 出现 常见 失败 综合 specified 中断
2023-09-14 09:16:21 时间

目录

 

发现问题 

解决历程

总结


 

发现问题 

在对工程进行综合时,出现综合过程中出现中止或者完全不启动综合,类似下图,明明点击综合启动了几分钟,但是 elapsed 一直显示为 0,表示完全没用启动综合,在 TCL Console 栏中出现了“PID not specified”字样。

607bd91f95e243eca360291153796dd4.png

解决历程

经过查询网上的解决办法,有说是在安装 Vivado 时有杀毒软件类似 360 存在会导致这种情况,但是我电脑没有任何杀毒软件,很奇怪百思不得其解,这个工程只改动了部分代码就出现这种情况,我检查了代码也是没有问题的。

也想过重新搭一遍工程,但是工程比较大搭起来太费时间就放弃了,同样重新安装 Vivado 可能也可以解决问题,但是这是最后的无奈之举了。

之前也遇到这种问题,是因为在 block design 中有部分的连线出现错误导致,修改了就可以正常综合了,这次出现的新问题应该不是 BD 的问题,于是我重新新建了一个综合文件以及布局布线文件,对其进行综合,果然可以正常综合了,看来多半是 Vivado 自身的 bug。

总结

在遇到 Vivado 无法综合的情况时,可以参考以下的方法,方法的时间难度逐渐递增。

首先检查自身的问题

(1)检查代码是否有问题,或者搭建 Block Design 时有没有出现有些线没有连上或者连的不对。

如果上述方法检查过了仍然不行,执行第二步方法:

(2)重新新建一个 Synthesis 文件和 implementation 文件。

点击 design runs 中的+号,

3be645abbc58482ca0d8e6498c709021.png

在打开的选择卡中选择 Both 选项,表示综合和布局布线文件都新建一个

694e7f2b8c554ccbbbe27c702e4e71c4.png

继续点击 Next

cde5ccf5a6434fdcb86f684d5b070c34.png

继续点 Next

0e5d87d9eb904cd2a024f1cdc8da656b.png

选择 “launch runs on local host” 以及选择工作的线程数,继续点击 Next

11b633ca493f45fda23e65e6f0673a24.png

最后点击 Finish 即创建新的综合和布局布线文件

884670a19dcc467da6588e88446bc56a.png

在 design runs 中右击 synth 和 imple 选择 make active,表示选择新建的文件作为综合和布局布线的住文件,等会点击综合和布局布线就会针对这个文件进行生成。

86ad57aecaa9428eb395244e1b8e532d.png

然后可以直接生成比特流,我通过这个方法就可以正常生成综合和比特流文件了。

如果上述方法仍然不行,只能用终极方法了——重启、重装大法好!

(3)可以尝试重新搭建新的工程,重启电脑在试试,甚至重新安装 Vivado,注意需要在没有杀毒软件的环境下安装,这个方法我没有试过,但是这也是最后的办法了。