zl程序教程

您现在的位置是:首页 >  其它

当前栏目

常用顺序语句

常用 语句 顺序
2023-09-14 09:16:21 时间

常用顺序语句

VHDL有6类基本顺序语句:赋值语句、流程控制语句、等待语句、子程序调用语句、返回语句和空操作语句。

赋值语句

赋值语句有两种,即信号赋值语句和变量赋值语句。
VHDL规定,赋值目标与赋值源的数据类型必须严格一致。

CASE语句

CASE语句属于顺序语句,因此必须放在进程语句PROCESS中使用。

CASE语句的一般表述如下:
		CASE <表达式> IS
		WHEN <选择值或标识符>  => <顺序语句>;...; <顺序语句>;
		WHEN <选择值或标识符>  => <顺序语句>;...; <顺序语句>;
		...
		WHEN OTHERS  => <顺序语句>;
		END CASE
当执行到CASE语句时,首先计算<表达式>的值,然后根据WHEN条件句中与之相同的<选择值或标识符>
执行对应的<顺序语句>,最后结束CASE语句。条件句中的=>不是操作符,它的含义相当于THEN(或于是)。

CASE语句中使用应该注意以下几点
	1、WHEN条件句中的选择值或标识符所代表的值必须在CASE的<表达式>的取值范围内,且数据类型也必须匹配。
	2、除非所有条件语句中的选择值都能完整覆盖CASE语句中表达式的取值,否则最末一个条件句中的选择必须加上
		 最后一句"WHEN OTHERS => <顺序语句>"。关键词OTHERS表示以上所有条件句中未能列出的其他可能的取值。
		 OTHERS只能出现一次,且只能作为最后一种条件取值。关键词NULL表示不做任何操作。
	3、CASE语句中的选择值只能出现一次,不允许有相同选择值的条件语句出现。
	4、C