zl程序教程

您现在的位置是:首页 >  硬件

当前栏目

【数字IC/FPGA】时钟无毛刺切换

IC 数字 切换 FPGA 时钟
2023-09-14 09:16:18 时间

本文参考自链接
无毛刺时钟切换电路,又叫 Glitch free 电路、时钟无缝切换电路。随着越来越多的多时钟应用于当今的芯片中,在芯片运行时经常需要切换时钟源。通常的实现方式是:在硬件中复用两个不同频率的时钟源,并通过内部逻辑控制复用器 MUX。下面介绍几种时钟切换的方法。

AND-OR 门组成复用器 MUX

在这里插入图片描述
如上图所示,当SELECT信号为高时,CLK1被选中,OUT CLOCK=CLK1,当SELECT为0时&#x