zl程序教程

您现在的位置是:首页 >  其它

当前栏目

VHDL其他顺序语句

语句 顺序 其他 VHDL
2023-09-14 09:16:21 时间

VHDL其他顺序语句

LOOP循环语句

LOOP语句属于可综合的循环语句,它可以使所包含的一组顺序语句被训话执行,其执行次数可由设定的循环参数决定。
(1)单个LOOP语句
		[LOOP标号: ] LOOP
			顺序语句
	    END LOOP [LOOP 标号];
	    实例如下:
	    	L2 : LOOP
	    				A := A+1;
	    				EXIT L2 WHEN A >10;--当A大于10时跳出循环
	    		  END LOOP L2;
	  
(2)FOR_LOOP语句
		[LOOP标号:] FOR 循环变量 IN 循环次数范围 LOOP
			顺序语句
	    END LOOP [LOOP标号];

NEXT语句

NEXT语句主要用在LOOP语句执行中进行有条件的或无条件的转向控制。
语句格式有以下三种
			NEXT;--语句格式1
当LOOP内的顺序语句执行到NEXT语句时,即刻无条件终止当前的循环,跳回到本次循环LOOP语句处,开始下一次循环。
			NEXT LOOP标号;--语句格式2
跳转到指定标号的LOOP语句处,重新执行循环操作。
			NEXT LOOP标号 WHEN 条件表达式;--语句格式3
WHEN条件表达式是执行NEXT语句的条件,如果条件表达式的值为TRUE,则执行NEXT,进入跳转,否则继续执行下去

EXIT语句

EXIT语句与NEXT语句具有十分相似的语句格式和跳转功能,它们都是LOOP语句的内部循环控制语句。
		EXIT;--语句格式1
		EXIT LOOP标号;--语句格式2
		EXIT LOOP标号 WHEN 条件表达式;--