zl程序教程

您现在的位置是:首页 >  工具

当前栏目

FPGA知识汇集-FPGA设计开发需要熟悉哪些EDA工具呢?

工具开发 设计 需要 知识 哪些 FPGA 熟悉
2023-09-14 09:15:33 时间

FPGA

FPGA设计需要哪些工具?

在FPGA的设计过程中,工程师需要使用仿真、综合、物理实现、调试和验证等各种工具。之前说过,给粉友们提供常用工具的简要介绍。

仿真器

仿真器

工具: ISIM

公司: Xilinx

http://www. xilinx. com/ support documentation/ plugin_ism. Pdf

Xilinx ISIM是中小规模FPCA设计的最佳选择。它集成在Xilinx ISE工具中,并且是免费获取的。

ISIM的最大缺点是不能很好地应用于大规模设计。同其他商业仿真器相比,ISM的处理速度慢得多,而且需要更多的内存。ISIM 还提供了一个协同仿真选项,这需要单独授权。

工具: ModelSim PE、DE、SE

公司: Mentor Graphics

http:// model. Com

ModelSim仿真器是最流行的FPGA仿真设计选择,它有三个版本: PE、DE和SE。

工具: VCS

公司: Synopsys

http://www. synopsys. com/Tools/ FunctionalVerification/Pages/ VCS. Aspx

VCs是一个高端仿真工具,它是处理速度最快同时也是最昂贵的仿真工具。它主要用于ASIC设计中的功能仿真,往往在大型FPGA设计中使用。

工具: NCSim

公司: Cadence

http:// www. cadence. com/ products/ ld/ design_ team _simulator/ pages/ default. Aspx

NCSim是一个核心仿真软件,是Incisive 工具套件的一部分, 用于ASIC和PPCA的设计与验证。

工具: Active-HDL、Riviera

公司: Aldec

http://www. aldec. com/ Products/ default. Aspx

Active-HDL是FPGA和ASIC功能仿真与验证工具。

工具: Icarus Verilog

http://bleyer. org/ icarus

Icarus Verilog是针对Verilog HDL语言的一个开源编译器。Icarus 由Stephen Williams维护,它是在GNU GPL许可下发布的。

工具: Verilator

http:// www. veripool. org/ wiki/ verilator

Verilator是由Wilson Snyder编写和维护的开源Verilog HDL仿真器,其主要特点在于仿真速度快。

持续关注么,仿真工具将有更详细的描述。

综合工具

综合工具

综合工具是将硬件描述语言(HDL),描述的的设计转换为网表的应用程序。Xilinx FPGA有几种综合工具: Xilinx XST、Synopsys  Synplify和Mentor Precision等。

Xilinx  XST产生一个专用的NGC格式的网表,其中包含逻辑设计数据和参数。其他综合工具产生工业标准EDIF格式的网表。

工具: XST

公司: Xilinx

htp://www. xilinx. com/ itp/ xilinx10/ books/ docs/ xst/xst. pdf

工具: Synplify Pro、Synplify Premier

公司: Synopsys

http:// www. synopsys. com/ tools/ synplifypro. aspx

工具: Precision RTL

公司: Mentor Graphics

http://www. mentor. com/ products/fpga/ synthesis/ precision rtl

工具: zFAST

公司: EvE

http://www. eve-team. com/ products zfast. Html

EvE公司的zFAST是针对EvE ZeBu ASIC仿真平台中使用Xilinx FPGA时的综合工具。zFAST的主要特点是执行速度快。对于大型ASIC设计,能迅速产生网表是一种重要的需求。

Synopsys公司的Synplify和Mentor Precision是FPGA设计中经常使用的综合工具。

综合工具之间的详细比较超出了这次的讨论范围。每个工具都有其独特优点:更快的执行速度、更紧凑的网表、HDL语言结构的更好支持、独特的优化功能、模块化设计流程等。开始一个新项目之前,建议先评估不同的综合工具,继而选择适合的软件。

物理实现工具

FPGA供应商提供自己的FPGA物理实现工具。Xilinx FPGA的物理实现需要NGD-BUILD、MAP. PAR和BTGEN工具。进行不同格式之间的转换和报告分析时,可选的工具有有TRCE. NETGEN、EDIF2NGD、XDL等。这些工具都集成到了IsE和PanAhed GUI环境下,也可以通过命令行方式访问,它们被安装在$XILINX/ISE/bin{nt,nt64,lin,lin64}和$XILINX/common/bin/{nt,nt64,lin,lin64}目录下。$XILINX是一个环境变量,指向ISE安装目录。

设计调试和验证

工具: signaltap

公司: INTEL

SignalTap是由Altera公司开发的一个在线、片内信号分析的工具,为设计开发者验证所用。

工具: Identify

公司: Synopsys

http://www. synopsys. com/ iools identify. Aspx

ldentify RTL调试工具允许用户根据他们的RTL代码,在正在运行的硬件上仍以RTL级调试已经实现的FPGA。

工具: ChipScope

公司: Xilinx

http:// www. xilinx. corn/ tools/ cspro. htm

Lint工具

Limt工具在仿真和综合前自动对RTL进行设计规则检查和分析,有助于发现不能由仿真和综合工具发现的复杂和难以察觉的问题。Lint 工具检查的设计规则包括:时钟域交义、组合逻辑自环、模块连接性、编码风格、隐含锁存器、异步复位等。

工具: nLint

公司: SpringSoft

http:// www. springsoft. Com

nLint是Novas验证环境的一部分。

工具: vLint

公司: Veritools

http ://www. veritools. Com

基本的和高级的Lint工具集成到了Aldec 的Riviera-PRO工具中。ModelSim仿真器通过“-lint"命令行选项提供Lint功能。

持续关注,更多内容定时发表

更多有趣的话题请看链接:

FPGA知识汇集-FPGA项目开发包含那些任务?

FPGA知识汇集--FPGA结构(1)

FPGA的应用

FPGA知识汇集-在命令行模式下使用Xilinx工具

FPGA知识汇集-ISE的这些有用的工具您知道吗?