zl程序教程

您现在的位置是:首页 >  其它

当前栏目

串行进位加法器

串行
2023-09-14 09:10:02 时间

串行进位加法器

题目描述
② 请用全加器电路①实现串行进位的4位全加器电路

题目解读
可将4个全加器串行相连,实现四位数串行进位加法器。原理图如下:
在这里插入图片描述

`timescale 1ns/1ns

module add_half(
   input                A   ,
   input                B   ,
 
   output	wire        S   ,
   output   wire        C   
);

assign S = A ^ B;
assign C = A & B;
endmodule

/***************************************************************/
module add_full(
   input                A   ,
   input                B   ,
   input                Ci  , 

   output	wire        S   ,
   output   wire        Co   
);

wire c_1;
wire c_2;
wire sum_1;

add_half add_half_1(
   .A   (A),
   .B   (B),
         
   .S   (sum_1),
   .C   (c_1)  
);
add_half add_half_2(