zl程序教程

您现在的位置是:首页 >  其它

当前栏目

产生脉冲之间的延迟

之间 产生 延迟 脉冲
2023-09-14 09:10:03 时间

产生脉冲之间的延迟

题目要求
FPGA产生两个输出脉冲,要求这两个脉冲之间的延迟为0.5ns,请描述你的实现方案

题目分析
1 使用计数器延迟不太可能,FPGA内部逻辑没有一个专用的delaybuffer。
2 IOB (input output block) iodelay。去实现小分辨率的延迟
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
前仿真
在这里插入图片描述
后仿真
在这里插入图片描述