verilog 多路选择器四选一
选择器 Verilog 多路
2023-09-14 09:09:26 时间
`timescale 1ns/1ns
module mux4to1( input [1:0] d0 ,d1 ,d2,d3,sel,
output [1:0] mux_out
);
reg [1:0] mux_out;
always @(*)begin
case (sel)
2'b00 :mux_out=d0;
2'b01 :mux_out=d1;
2'b10 :mux_out=d2;
2'b11 :mux_out=d3;
default :mux_out=d0;
endcase
end
endmodule
`timescale 1ns/1ns
module test ;
reg [1:0] sel ;
wire [1:0] sout ;
initial begin
$dumpfile("test.vcd");
$dumpvars(0,test);
sel = 0 ;
#10 sel = 3 ;
#20 ;
#10 sel = 1 ;
#20 ;
#10 sel = 0 ;
#20 ;
#10 sel = 2 ;
end
mux4to1 u_mux4to1 (
.d0 (2'b00), //path0 are assigned to 0
.d1 (2'b01), //path1 are assigned to 1
.d2 (2'b10), //path2 are assigned to 2
.d3 (2'b11),
.sel (sel), //path3 are assigned to 3
.mux_out (sout));
//finish the simulation
always begin
#100;
if ($time >= 1000) $finish ;
end
endmodule
相关文章
- 【愚公系列】2022年08月 微信小程序-slider滑动选择器详解
- 常用的CSS3选择器
- CSS3新增选择器
- css后代选择器_什么是后代选择器并举例说明
- CSS属性选择器_伪类选择器的属性使用
- Verilog流水线_verilog菜鸟教程
- 【说站】css选择器之间的关系
- 结构伪类选择器的分类以及使用语法
- jQuery选择器和选取方法
- RocketMQ——消息选择器详解编程语言
- jQuery层次选择器(4个)
- CSS 选择器
- JQuery学习笔记选择器之四
- JQuery学习笔记选择器之六
- jquery子元素过滤选择器使用示例
- jquery选择器、属性设置用法经验总结
- css类选择器的使用方法详解
- jquery属性选择器nothas怎么写行悬停高亮显示
- jquery选择器大全全面详解jquery选择器
- jQuery过滤选择器详解